Follow
Tanvir Ahmed Khan
Title
Cited by
Cited by
Year
I-spy: Context-driven conditional instruction prefetching with coalescing
TA Khan, A Sriraman, J Devietti, G Pokam, H Litz, B Kasikci
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
432020
Ripple: Profile-guided instruction cache replacement for data center applications
TA Khan, D Zhang, A Sriraman, J Devietti, G Pokam, H Litz, B Kasikci
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
292021
Rethinking file mapping for persistent memory
I Neal, G Zuo, E Shiple, TA Khan, Y Kwon, S Peter, B Kasikci
19th USENIX Conference on File and Storage Technologies (FAST 21), 97-111, 2021
242021
Twig: Profile-guided btb prefetching for data center applications
TA Khan, N Brown, A Sriraman, NK Soundararajan, R Kumar, J Devietti, ...
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
232021
Dmon: Efficient detection and correction of data locality problems using selective profiling
TA Khan, I Neal, G Pokam, B Mozafari, B Kasikci
15th {USENIX} Symposium on Operating Systems Design and Implementation …, 2021
222021
APT-GET: profile-guided timely software prefetching
S Jamilan, TA Khan, G Ayers, B Kasikci, H Litz
Proceedings of the Seventeenth European Conference on Computer Systems, 747-764, 2022
172022
Thermometer: profile-guided btb replacement for data center applications
S Song, TA Khan, SM Shahri, A Sriraman, NK Soundararajan, ...
Proceedings of the 49th Annual International Symposium on Computer …, 2022
142022
Pdede: Partitioned, deduplicated, delta branch target buffer
NK Soundararajan, P Braun, TA Khan, B Kasikci, H Litz, S Subramoney
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
132021
Huron: hybrid false sharing detection and repair
TA Khan, Y Zhao, G Pokam, B Mozafari, B Kasikci
Proceedings of the 40th ACM SIGPLAN Conference on Programming Language …, 2019
122019
Whisper: Profile-guided branch misprediction elimination for data center applications
TA Khan, M Ugur, K Nathella, D Sunwoo, H Litz, DA Jiménez, B Kasikci
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 19-34, 2022
102022
One profile fits all: Profile-guided linux kernel optimizations for data center applications
M Ugur, C Jiang, A Erf, T Ahmed Khan, B Kasikci
ACM SIGOPS Operating Systems Review 56 (1), 26-33, 2022
82022
Ocolos: Online code layout optimizations
Y Zhang, TA Khan, G Pokam, B Kasikci, H Litz, J Devietti
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 530-545, 2022
72022
Ripple: Profile-Guided Instruction Cache Replacement for Data Center Applications. In 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). 734–747
TA Khan, D Zhang, A Sriraman, J Devietti, G Pokam, H Litz, B Kasikci
72021
Cpu microarchitectural performance characterization of cloud video transcoding
Y Chen, J Zhu, TA Khan, B Kasikci
2020 IEEE International Symposium on Workload Characterization (IISWC), 72-82, 2020
52020
Towards exploiting a synergy between cognitive and multi-radio networking
TA Khan, CS Hyder, ABMA Al Islam
2015 IEEE 11th international conference on wireless and mobile computing …, 2015
42015
PEDAL: A Power Efficient GCN Accelerator with Multiple DAtafLows
Y Chen, A Khadem, X He, N Talati, TA Khan, T Mudge
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2023
32023
Online code layout optimizations via OCOLOS
Y Zhang, TA Khan, G Pokam, B Kasikci, H Litz, J Devietti
IEEE Micro, 2023
22023
CXLMemSim: A pure software simulated CXL. mem for performance characterization
Y Yang, P Safayenikoo, J Ma, TA Khan, A Quinn
arXiv preprint arXiv:2303.06153, 2023
22023
Impact of microarchitectural state reuse on serverless functions
T Asheim, TA Khan, B Kasicki, R Kumar
Proceedings of the Eighth International Workshop on Serverless Computing, 7-12, 2022
22022
Enhancing throughput in multi-radio cognitive radio networks
TA Khan, ABMAA Islam
Wireless Networks 25, 4383-4402, 2019
22019
The system can't perform the operation now. Try again later.
Articles 1–20