Follow
Suhaib Fahmy
Suhaib Fahmy
Verified email at kaust.edu.sa - Homepage
Title
Cited by
Cited by
Year
FPGA dynamic and partial reconfiguration: A survey of architectures, methods, and applications
K Vipin, SA Fahmy
ACM Computing Surveys (CSUR) 51 (4), 1-39, 2018
2412018
Virtualized FPGA accelerators for efficient cloud computing
SA Fahmy, K Vipin, S Shreejith
IEEE 7th International Conference on Cloud Computing Technology and Science …, 2015
2402015
Iris: an architecture for cognitive radio networking testbeds
PD Sutton, J Lotze, H Lahlou, SA Fahmy, KE Nolan, B Ozgul, ...
IEEE communications magazine 48 (9), 114-122, 2010
1742010
ZyCAP: Efficient partial reconfiguration management on the Xilinx Zynq
K Vipin, SA Fahmy
IEEE Embedded Systems Letters 6 (3), 41-44, 2014
1492014
Embedded systems and software challenges in electric vehicles
S Chakraborty, M Lukasiewycz, C Buckl, S Fahmy, N Chang, S Park, ...
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 424-429, 2012
1112012
Novel FPGA-based implementation of median and weighted median filters for image processing
SA Fahmy, PYK Cheung, W Luk
International Conference on Field Programmable Logic and Applications, 2005 …, 2005
1102005
Security in automotive networks: Lightweight authentication and authorization
P Mundhenk, A Paverd, A Mrowca, S Steinhorst, M Lukasiewycz, ...
ACM Transactions on Design Automation of Electronic Systems (TODAES) 22 (2 …, 2017
932017
Virtualized execution runtime for FPGA accelerators in the cloud
M Asiatici, N George, K Vipin, SA Fahmy, P Ienne
Ieee Access 5, 1900-1910, 2017
822017
Architecture-aware reconfiguration-centric floorplanning for partial reconfiguration
K Vipin, SA Fahmy
Reconfigurable Computing: Architectures, Tools and Applications: 8th …, 2012
822012
Efficient overlay architecture based on DSP blocks
AK Jain, SA Fahmy, DL Maskell
2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom …, 2015
792015
A high speed open source controller for FPGA Partial Reconfiguration.
K Vipin, SA Fahmy
Proceedings of the International Conference on Field Programmable Technology …, 2012
792012
Mapping for Maximum Performance on FPGA DSP Blocks
B Ronak, S Fahmy
IEEE Transactions on Computer Aided Design of Integrated Circuits and …, 2016
762016
Lightweight authentication for secure automotive networks
P Mundhenk, S Steinhorst, M Lukasiewycz, SA Fahmy, S Chakraborty
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), 285-288, 2015
702015
Reconfigurable computing in next-generation automotive networks
S Shreejith, SA Fahmy, M Lukasiewycz
IEEE embedded systems letters 5 (1), 12-15, 2013
702013
System architecture and software design for electric vehicles
M Lukasiewycz, S Steinhorst, S Andalam, F Sagstetter, P Waszecki, ...
Proceedings of the 50th Annual Design Automation Conference, 1-6, 2013
642013
DyRACT: A partial reconfiguration enabled accelerator and test platform
K Vipin, SA Fahmy
2014 24th international conference on field programmable logic and …, 2014
622014
High-throughput one-dimensional median and weighted median filters on FPGA
SA Fahmy, PYK Cheung, W Luk
IET computers & digital techniques 3 (4), 384-394, 2009
612009
Virtualized execution and management of hardware tasks on a hybrid ARM-FPGA platform
AK Jain, KD Pham, J Cui, SA Fahmy, DL Maskell
Journal of Signal Processing Systems 77, 61-76, 2014
592014
Security analysis of automotive architectures using probabilistic model checking
P Mundhenk, S Steinhorst, M Lukasiewycz, SA Fahmy, S Chakraborty
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
572015
iDEA: A DSP block based FPGA soft processor.
HY Cheah, SA Fahmy, DL Maskell
International Conference on Field Programmable Technology (FPT), 151-158, 2012
552012
The system can't perform the operation now. Try again later.
Articles 1–20