Folgen
Igor L. Markov
Igor L. Markov
Bestätigte E-Mail-Adresse bei synopsys.com - Startseite
Titel
Zitiert von
Zitiert von
Jahr
Ending piracy of integrated circuits
JA Roy, F Koushanfar, IL Markov
IEEE Computer 10 (43), 30-38, 2010
1098*2010
Synthesis of quantum logic circuits
VV Shende, SS Bullock, IL Markov
IEEE Transactions on Computer Aided Design 25, 1000-1010, 2006
7982006
Synthesis of Reversible Logic Circuits
VV Shende, AK Prasad, L Markov, Igor, JP Hayes
IEEE Transaction on computer-aided design of integrated circuits and systems …, 2003
6582003
Limits on fundamental limits to computation
IL Markov
Nature 512 (7513), 147-154, 2014
5102014
VLSI physical design: from graph partitioning to timing closure
AB Kahng, J Lienig, IL Markov, J Hu
Springer, 2011
5042011
Can recursive bisection alone produce routable placements?
AE Caldwell, AB Kahng, IL Markov
Design Automation Conference, 477-482, 2000
4982000
Probabilistic transfer matrices in symbolic reliability analysis of logic circuits
S Krishnaswamy, GF Viamontes, IL Markov, JP Hayes
ACM Transactions on Design Automation of Electronic Systems (TODAES) 13 (1), 8, 2008
489*2008
Fixed-outline floorplanning: Enabling hierarchical design
SN Adya, IL Markov
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 11 (6 …, 2003
4802003
Simulating quantum computation by contracting tensor networks
IL Markov, Y Shi
SIAM Journal on Computing 38 (3), 963-981, 2008
4432008
Synthesis and optimization of reversible circuits—a survey
M Saeedi, IL Markov
ACM Computing Surveys (CSUR) 45 (2), 1-34, 2013
3382013
Solving difficult instances of boolean satisfiability in the presence of symmetry
FA Aloul, A Ramani, IL Markov, KA Sakallah
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2003
331*2003
Watermarking techniques for intellectual property protection
AB Kahng, J Lach, WH Mangione-Smith, S Mantik, IL Markov, ...
Proceedings of the 35th annual Design Automation Conference, 776-781, 1998
3231998
Electronic Design Automation for Integrated Circuits Handbook: EDA for IC system design, verification, and testing
L Lavagno, GE Martin, L Scheffer, IL Markov
CRC/Taylor & Francis, 2006
310*2006
Minimal universal two-qubit controlled-NOT-based circuits
VV Shende, IL Markov, SS Bullock
Physical Review A 69 (6), 062321, 2004
304*2004
Energy-efficient abundant-data computing: The N3XT 1,000 x
MMS Aly, M Gao, G Hills, CS Lee, G Pitner, MM Shulaker, TF Wu, ...
Computer 48 (12), 24-33, 2015
2722015
Generic ILP versus specialized 0-1 ILP: an update
FA Aloul, A Ramani, IL Markov, KA Sakallah
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided …, 2002
2642002
Shatter: Efficient symmetry-breaking for boolean satisfiability
FA Aloul, IL Markov, KA Sakallah
Proc. 40th IEEE/ACM Design Automation Conference (DAC), 836-839, 2003
262*2003
SimPL: An effective placement algorithm
MC Kim, DJ Lee, IL Markov
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions …, 2012
2502012
On the CNOT-cost of TOFFOLI gates
VV Shende, IL Markov
Quantum Information and Computation 9 (5-6), 461-486, 2009
2472009
Constraint-based watermarking techniques for design IP protection
AB Kahng, J Lach, WH Mangione-Smith, S Mantik, IL Markov, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2001
2452001
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20