Follow
Giuseppe Di Guglielmo
Giuseppe Di Guglielmo
Sr. ASIC Engineer - Fermilab, PPD, ASIC Dept.
Verified email at fnal.gov - Homepage
Title
Cited by
Cited by
Year
Mlperf tiny benchmark
C Banbury, VJ Reddi, P Torelli, J Holleman, N Jeffries, C Kiraly, P Montino, ...
arXiv preprint arXiv:2106.07597, 2021
1602021
hls4ml: An open-source codesign workflow to empower scientific low-power machine learning devices
F Fahim, B Hawks, C Herwig, J Hirschauer, S Jindariani, N Tran, ...
arXiv preprint arXiv:2103.05579, 2021
1112021
An analysis of accelerator coupling in heterogeneous architectures
EG Cota, P Mantovani, G Di Guglielmo, LP Carloni
Proceedings of the 52Nd Annual Design Automation Conference, 1-6, 2015
1062015
Agile SoC development with open ESP
P Mantovani, D Giri, G Di Guglielmo, L Piccolboni, J Zuckerman, EG Cota, ...
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
1052020
Fast convolutional neural networks on FPGAs with hls4ml
T Aarrestad, V Loncar, N Ghielmetti, M Pierini, S Summers, J Ngadiuba, ...
Machine Learning: Science and Technology 2 (4), 045015, 2021
1002021
Compressing deep neural networks on FPGAs to binary and ternary precision with hls4ml
J Ngadiuba, V Loncar, M Pierini, S Summers, G Di Guglielmo, J Duarte, ...
Machine Learning: Science and Technology 2 (1), 015001, 2020
812020
Fast inference of boosted decision trees in FPGAs for particle physics
S Summers, G Di Guglielmo, J Duarte, P Harris, D Hoang, S Jindariani, ...
Journal of Instrumentation 15 (05), P05026, 2020
742020
HIFSuite: Tools for HDL code conversion and manipulation
N Bombieri, G Di Guglielmo, M Ferrari, F Fummi, G Pravadelli, F Stefanni, ...
EURASIP Journal on Embedded Systems 2010, 1-20, 2010
702010
Distance-weighted graph neural networks on FPGAs for real-time particle reconstruction in high energy physics
Y Iiyama, G Cerminara, A Gupta, J Kieseler, V Loncar, M Pierini, ...
Frontiers in big Data 3, 598927, 2021
632021
COSMOS: Coordination of high-level synthesis and memory optimization for hardware accelerators
L Piccolboni, P Mantovani, GD Guglielmo, LP Carloni
ACM Transactions on Embedded Computing Systems (TECS) 16 (5s), 1-22, 2017
572017
Design and implementation of a dynamic information flow tracking architecture to secure a RISC-V core for IoT applications
C Palmiero, G Di Guglielmo, L Lavagno, LP Carloni
2018 IEEE High Performance extreme Computing Conference (HPEC), 1-7, 2018
502018
Applications and techniques for fast machine learning in science
AMC Deiana, N Tran, J Agar, M Blott, G Di Guglielmo, J Duarte, P Harris, ...
Frontiers in big Data 5, 787421, 2022
482022
A reconfigurable neural network ASIC for detector front-end data compression at the HL-LHC
G Di Guglielmo, F Fahim, C Herwig, MB Valentin, J Duarte, C Gingu, ...
IEEE Transactions on Nuclear Science 68 (8), 2179-2186, 2021
472021
ESP4ML: Platform-based design of systems-on-chip for embedded machine learning
D Giri, KL Chiu, G Di Guglielmo, P Mantovani, LP Carloni
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2020
472020
An FPGA-based infrastructure for fine-grained DVFS analysis in high-performance embedded systems
P Mantovani, EG Cota, K Tien, C Pilato, G Di Guglielmo, K Shepard, ...
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
462016
System-level optimization of accelerator local memory for heterogeneous systems-on-chip
C Pilato, P Mantovani, G Di Guglielmo, LP Carloni
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2016
432016
Crylogger: Detecting crypto misuses dynamically
L Piccolboni, G Di Guglielmo, LP Carloni, S Sethumadhavan
2021 IEEE Symposium on Security and Privacy (SP), 1972-1989, 2021
412021
High-level synthesis of accelerators in embedded scalable platforms
P Mantovani, G Di Guglielmo, LP Carloni
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 204-211, 2016
372016
Efficient generation of stimuli for functional verification by backjumping across extended FSMs
GD Guglielmo, LD Guglielmo, F Fummi, G Pravadelli
Journal of Electronic Testing 27 (2), 137-162, 2011
362011
Handling large data sets for high-performance embedded applications in heterogeneous systems-on-chip
P Mantovani, EG Cota, C Pilato, G Di Guglielmo, LP Carloni
Proceedings of the International Conference on Compilers, Architectures and …, 2016
352016
The system can't perform the operation now. Try again later.
Articles 1–20