Follow
Wentai Zhang
Title
Cited by
Cited by
Year
Frequency improvement of systolic array-based CNNs on FPGAs
J Zhang, W Zhang, G Luo, X Wei, Y Liang, J Cong
2019 IEEE International Symposium on Circuits and Systems (ISCAS), 1-4, 2019
402019
An efficient mapping approach to large-scale dnns on multi-fpga architectures
W Zhang, J Zhang, M Shen, G Luo, N Xiao
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2019
392019
cuMBIR: An efficient framework for low-dose x-ray CT image reconstruction on GPUs
X Li, Y Liang, W Zhang, T Liu, H Li, G Luo, M Jiang
Proceedings of the 2018 International Conference on Supercomputing, 184-194, 2018
132018
A fast and accurate approach for common path pessimism removal in static timing analysis
B Jin, G Luo, W Zhang
2016 IEEE International Symposium on Circuits and Systems (ISCAS), 2623-2626, 2016
102016
FPGA acceleration by asynchronous parallelization for simultaneous image reconstruction and segmentation based on the Mumford-Shah regularization
W Zhang, G Luo, L Shen, T Page, P Li, M Jiang, P Maass, J Cong
Image Reconstruction from Incomplete Data VIII 9600, 96000H, 2015
92015
Fpga acceleration for simultaneous medical image reconstruction and segmentation
P Li, T Page, G Luo, W Zhang, P Wang, P Zhang, P Maass, M Jiang, ...
2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom …, 2014
72014
Serial-equivalent static and dynamic parallel routing for FPGAs
M Shen, W Zhang, G Luo, N Xiao
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
62018
Evaluating Low-Memory GEMMs for Convolutional Neural Network Inference on FPGAs
W Zhang, M Jiang, G Luo
2020 IEEE 28th Annual International Symposium on Field-Programmable Custom …, 2020
52020
A Fast and Simple Block-based Approach for Common Path Pessimism Removal in Static Timing Analysis
G Luo, B Jin, W Zhang
2015 14th International Conference on Computer-Aided Design and Computer …, 2015
52015
FPGA Acceleration for Simultaneous Image Reconstruction and Segmentation based on the Mumford-Shah Regularization
W Zhang, L Shen, T Page, G Luo, P Li, P Maaß, M Jiang, J Cong
Proceedings of the 2015 ACM/SIGDA International Symposium on Field …, 2015
52015
FPGA-accelerated Iterative Reconstruction for Transmission Electron Tomography
L Qiao, G Luo, W Zhang, M Jiang
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom …, 2021
42021
FPGA Acceleration for 3D Low-Dose Tomographic Reconstruction
W Zhang, L Qiao, W Hsu, Y Cui, M Jiang, G Luo
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
42020
Analyzing the impact of heterogeneous blocks on FPGA placement quality
C Xu, W Zhang, G Luo
2014 International Conference on Field-Programmable Technology (FPT), 36-43, 2014
42014
FPGA Acceleration of Ray-Based Iterative Algorithm for 3D Low-Dose CT Reconstruction
L Qiao, G Luo, W Zhang, M Jiang
2020 30th International Conference on Field-Programmable Logic and …, 2020
32020
Adaptive-precision framework for SGD using deep Q-learning
W Zhang, H Huang, J Zhang, M Jiang, G Luo
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
32018
Search space reduction for the non-exact projective NPNP Boolean matching problem
F Wang, J Zhang, L Wu, W Zhang, G Luo
2017 IEEE International Symposium on Circuits and Systems (ISCAS), 1-4, 2017
32017
Scaling up physical design: Challenges and opportunities
G Luo, W Zhang, J Zhang, J Cong
Proceedings of the 2016 International Symposium on Physical Design, 131-137, 2016
32016
Towards Serial-Equivalent Parallel Routing for FPGAs
M Shen, W Zhang, N Xiao, G Luo
Proceedings of the 2018 ACM/SIGDA International Symposium on Field …, 2018
2018
Mapping Large-Scale DNNs on Asymmetric FPGAs
W Zhang, J Zhang, M Shen, N Xiao, G Luo
Proceedings of the 2018 ACM/SIGDA International Symposium on Field …, 2018
2018
The system can't perform the operation now. Try again later.
Articles 1–19