Follow
Chan-Uk Jeon
Chan-Uk Jeon
Verified email at samsung.com
Title
Cited by
Cited by
Year
SEMATECH’s EUV program: a key enabler for EUVL introduction
S Wurm, CU Jeon, M Lercel
Emerging Lithographic Technologies XI 6517, 35-49, 2007
352007
Manufacturability evaluation of model-based OPC masks
SH Jang, SY Zinn, WT Ki, JH Choi, CU Jeon, SW Choi, HS Yoon, ...
22nd Annual BACUS Symposium on Photomask Technology 4889, 520-529, 2002
262002
A fast path-based method for 3-D resist development simulation
Q Dai, R Guo, SY Lee, J Choi, SH Lee, IK Shin, CU Jeon, BG Kim, ...
Microelectronic engineering 127, 86-96, 2014
232014
Photomasks and methods of manufacturing the same
J Oh, D Kang, C Jeon, H Ko, SJ Han, J Kim
US Patent 8,784,672, 2014
212014
Inspection with the lasertec M7360 at the SEMATECH mask blank development center
W Cho, PA Kearney, EM Gullikson, A Jia, T Tamura, A Tajima, ...
Emerging Lithographic Technologies XI 6517, 121-128, 2007
202007
Mask pattern recovery by level set method based inverse inspection technology (IIT) and its application on defect auto disposition
JH Park, PDH Chung, CU Jeon, HK Cho, L Pang, D Peng, V Tolani, ...
Photomask Technology 2009 7488, 82-92, 2009
182009
Minimization of line edge roughness and critical dimension error in electron-beam lithography
X Zhao, SY Lee, J Choi, SH Lee, IK Shin, CU Jeon
Journal of Vacuum Science & Technology B 32 (6), 2014
142014
Method of manufacturing EUVL alternating phase-shift mask
S Huh, HB Kim, SW Choi, D Kim, C Jeon
US Patent 7,601,467, 2009
132009
Study of nanometer-thick graphite film for high-power EUVL pellicle
MJ Kim, HC Jeon, R Chalykh, E Kim, J Na, BG Kim, H Kim, C Jeon, ...
Extreme Ultraviolet (EUV) Lithography VII 9776, 577-586, 2016
122016
Improving CD uniformity using MB-MDP for 14nm node and beyond
BG Kim, J Choi, J Park, CU Jeon, S Watson, A Adamov, B Pack, I Bork
Photomask Technology 2012 8522, 16-22, 2012
122012
EUV mask blank defect inspection strategies for 32-nm half-pitch and beyond
S Wurm, H Han, P Kearney, W Cho, CU Jeon, E Gullikson
Photomask and Next-Generation Lithography Mask Technology XIV 6607, 898-906, 2007
122007
Photomask, method of making a photomask and photolithography method and system using the same
S Huh, H Kim, D Lee, C Jeon
US Patent 7,629,087, 2009
112009
Detectability and printability of EUVL mask blank defects for the 32-nm HP node
W Cho, HS Han, KA Goldberg, PA Kearney, CU Jeon
Photomask Technology 2007 6730, 373-381, 2007
112007
Requirements of data technology for EUV photomask
J Choi, J Doh, M Kim, CU Jeon
Photomask Technology 2019 11148, 51-66, 2019
102019
Printability and inspectability of defects on EUV blank for 2xnm hp HVM application
S Huh, IY Kang, CY Jeong, J Na, DR Lee, H Seo, SS Kim, CU Jeon, J Doh, ...
Extreme Ultraviolet (EUV) Lithography III 8322, 163-169, 2012
102012
Thermal limitation of silicon EUV pellicle and possible improvements for mass production of EUV lithography
S Kwon, Y Jung, H Jeon, J Kim, J Choi, BG Kim, CU Jeon
Int. EUVL Symp, 2015
92015
Determining the critical size of EUV mask substrate defects
H Han, W Cho, KA Goldberg, EM Gullikson, CU Jeon, S Wurm
Emerging Lithographic Technologies XII 6921, 596-603, 2008
92008
Current status of EUV mask inspection using 193nm optical inspection system in 30nm node and beyond
SH Han, J Na, W Cho, DH Chung, CU Jeon, HK Cho, D Bernstein, ...
27th European Mask and Lithography Conference 7985, 299-308, 2011
82011
Practical approach to modeling e-beam lithographic process from SEM images for minimization of line edge roughness and critical dimension error
R Guo, SY Lee, J Choi, SH Park, IK Shin, CU Jeon
Journal of Vacuum Science & Technology B 34 (1), 2016
72016
Development of high-transmittance phase-shifting mask for ArF immersion lithography
W Ahn, HS Seo, JM Bang, JY Kim, JM Song, BH Seung, HB Kim, CU Jeon
Photomask Japan 2015: Photomask and Next-Generation Lithography Mask …, 2015
72015
The system can't perform the operation now. Try again later.
Articles 1–20