Follow
Il-Min Yi
Title
Cited by
Cited by
Year
An ultrathin conformable vibration-responsive electronic skin for quantitative vocal recognition
S Lee, J Kim, I Yun, GY Bae, D Kim, S Park, IM Yi, W Moon, Y Chung, ...
Nature communications 10 (1), 2468, 2019
1332019
An 80 mV-swing single-ended duobinary transceiver with a TIA RX termination for the point-to-point DRAM interface
SM Lee, IM Yi, HK Jung, H Lee, YJ Kim, YS Kim, B Kim, JY Sim, HJ Park
IEEE Journal of Solid-State Circuits 49 (11), 2618-2630, 2014
342014
A 4-GS/s 11.3-mW 7-bit time-based ADC with folding voltage-to-time converter and pipelined TDC in 65-nm CMOS
IM Yi, N Miura, H Nosaka
IEEE Journal of Solid-State Circuits 56 (2), 465-475, 2020
222020
A time-based receiver with 2-tap decision feedback equalizer for single-ended mobile DRAM interface
IM Yi, MK Chae, SH Hyun, SJ Bae, JH Choi, SJ Jang, B Kim, JY Sim, ...
IEEE Journal of Solid-State Circuits 53 (1), 144-154, 2017
212017
A 15.1-mW 6-GS/s 6-bit single-channel flash ADC with selectively activated 8× time-domain latch interpolation
IM Yi, N Miura, H Fukuyama, H Nosaka
IEEE Journal of Solid-State Circuits 56 (2), 455-464, 2020
182020
A transmitter to compensate for crosstalk-induced jitter by subtracting a rectangular crosstalk waveform from data signal during the data transition time in coupled microstrip …
HK Jung, IM Yi, SM Lee, JY Sim, HJ Park
IEEE journal of solid-state circuits 47 (9), 2068-2079, 2012
162012
A 40 mV-Differential-Channel-Swing Transceiver Using a RX Current-Integrating TIA and a TX Pre-Emphasis Equalizer With a CML Driver at 9 Gb/s
IM Yi, SM Lee, SJ Bae, YS Sohn, JH Choi, SJ Jang, B Kim, JY Sim, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 63 (1), 122 - 133, 2016
132016
A Single-Ended Parallel Transceiver With Four-Bit Four-Wire Four-Level Balanced Coding for the Point-to-Point DRAM Interface
SM Lee, JH Lim, IM Yi, YJ Jang, HK Jung, K Kim, D Kwon, B Kim, JY Sim, ...
Solid-State Circuits, IEEE Journal of 51 (8), 1890-1901, 2016
112016
23.7 A time-based receiver with 2-tap DFE for a 12Gb/s/pin single-ended transceiver of mobile DRAM interface in 0.8 V 65nm CMOS
IM Yi, MK Chae, SH Hyun, SJ Bae, JH Choi, SJ Jang, B Kim, JY Sim, ...
2017 IEEE International Solid-State Circuits Conference (ISSCC), 400-401, 2017
102017
A 38GS/s 7b Time-Interleaved Pipelined-SAR ADC with Speed-Enhanced Bootstrapped Switch in 22nm FinFET
Y Zhu, T Liu, SK Kaile, S Kiran, IIM Yi, R Liu, JCG Diaz, S Hoyos, ...
2022 IEEE Custom Integrated Circuits Conference (CICC), 1-2, 2022
92022
A 15.1-mW 6-GS/s 6-bit flash ADC with selectively activated 8× time-domain interpolation
IM Yi, N Miura, H Fukuyama, H Nosaka
2018 IEEE Asian Solid-State Circuits Conference (A-SSCC), 239-242, 2018
82018
A 40-mV-swing single-ended transceiver for TSV with a switched-diode RX termination
IM Yi, SM Lee, SJ Bae, YS Sohn, JH Choi, B Kim, JY Sim, HJ Park
IEEE Transactions on Circuits and Systems II: Express Briefs 61 (12), 987-991, 2014
82014
A 3D integrated energy-efficient transceiver realized by direct bond interconnect of co-designed 12 nm FinFET and silicon photonic integrated circuits
PH Chang, A Samanta, P Yan, M Fu, Y Zhang, MB On, A Kumar, H Kang, ...
Journal of Lightwave Technology 41 (21), 6741-6755, 2023
62023
A 38-GS/s 7-bit pipelined-SAR ADC with speed-enhanced bootstrapped switch and output level shifting technique in 22-nm FinFET
Y Zhu, T Liu, SK Kaile, S Kiran, IM Yi, R Liu, JCG Diaz, S Hoyos, ...
IEEE Journal of Solid-State Circuits, 2023
52023
A jitter-robust 40Gb/s ADC-based multicarrier receiver front end in 22nm FinFET
Y Zhu, JCG Diaz, SK Kaile, IIM Yi, T Liu, S Hoyos, S Palermo
2022 IEEE Custom Integrated Circuits Conference (CICC), 1-2, 2022
52022
An on-chip TSV emulation using metal bar surrounded by metal ring to develop interface circuits
IM Yi, SJ Bae, YS Sohn, JY Sim, HJ Park
2012 International SoC Design Conference (ISOCC), 192-195, 2012
52012
A jitter-robust 40 Gb/s ADC-based multicarrier receiver front-end with 4-GS/s baseband pipeline-SAR ADCs in 22-nm FinFET
Y Zhu, JCG Diaz, SK Kaile, IM Yi, T Liu, S Hoyos, S Palermo
IEEE Journal of Solid-State Circuits 58 (3), 662-676, 2022
42022
A Direct Bond Interconnect 3D Co-Integrated Silicon-Photonic Transceiver in 12nm FinFET with-20.3 dBm OMA Sensitivity and 691fJ/bit
A Samanta, PH Chang, P Yan, M Fu, M Berkay-On, A Kumar, H Kang, ...
2023 Optical Fiber Communications Conference and Exhibition (OFC), 1-3, 2023
32023
LLM: Realizing Low-Latency Memory by Exploiting Embedded Silicon Photonics for Irregular Workloads
M Fariborz, M Samani, P Fotouhi, R Proietti, IM Yi, V Akella, ...
International Conference on High Performance Computing, 44-64, 2022
32022
Decision feedback equalizer
IM Yi, N Miura, H Fukuyama, H Nosaka
US Patent 11,336,491, 2022
32022
The system can't perform the operation now. Try again later.
Articles 1–20