Follow
Gabriel Robins
Gabriel Robins
Verified email at cs.virginia.edu - Homepage
Title
Cited by
Cited by
Year
The ENCODE (ENCyclopedia of DNA elements) project
EA Feingold, PJ Good, MS Guyer, S Kamholz, L Liefer, K Wetterstrand, ...
Science 306 (5696), 636-640, 2004
23522004
Improved steiner tree approximation in graphs.
G Robins, A Zelikovsky
SODA, 770-779, 2000
7812000
Tighter bounds for graph Steiner tree approximation
G Robins, A Zelikovsky
SIAM Journal on Discrete Mathematics 19 (1), 122-134, 2005
3882005
Handbook of algorithms for physical design automation
CJ Alpert, DP Mehta, SS Sapatnekar
CRC press, 2008
3632008
On optimal interconnections for VLSI
AB Kahng, G Robins
Springer Science & Business Media, 1994
2991994
A new class of iterative Steiner tree heuristics with good performance
AB Kahng, G Robins
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1992
2871992
DeepChrome: deep-learning for predicting gene expression from histone modifications
R Singh, J Lanchantin, G Robins, Y Qi
Bioinformatics 32 (17), i639-i648, 2016
2852016
Physically unclonable function-based security and privacy in RFID systems
L Bolotnyy, G Robins
Fifth Annual IEEE International Conference on Pervasive Computing and …, 2007
2842007
Provably good performance-driven global routing
J Cong, AB Kahng, G Robins, M Sarrafzadeh, CK Wong
IEEE transactions on computer-aided design of integrated circuits and …, 1992
2781992
High-performance clock routing based on recursive geometric matching
A Kahng, J Cong, G Robins
Proceedings of the 28th ACM/IEEE Design Automation Conference, 322-327, 1991
1991991
Filling algorithms and analyses for layout density control
AB Kahng, G Robins, A Singh, A Zelikovsky
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1999
1971999
New performance-driven FPGA routing algorithms
MJ Alexander, G Robins
Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, 562-567, 1995
1891995
Performance-oriented placement and routing for field-programmable gate arrays
MJ Alexander, JP Cohoon, JL Ganley, G Robins
Proceedings of EURO-DAC. European Design Automation Conference, 80-85, 1995
1401995
High-performance routing trees with identified critical sinks
KD Boese, AB Kahng, G Robins
Proceedings of the 30th International Design Automation Conference, 182-187, 1993
1311993
Placement and routing for performance-oriented FPGA layout
MJ Alexander, JP Cohoon, JL Ganley, G Robins
VLSI Design 7 (1), 97-110, 1998
1251998
A methodology for energy-quality tradeoff using imprecise hardware
J Huang, J Lach, G Robins
Proceedings of the 49th Annual Design Automation Conference, 504-509, 2012
1232012
The moving-target traveling salesman problem
CS Helvig, G Robins, A Zelikovsky
Journal of Algorithms 49 (1), 153-174, 2003
1212003
The moving-target traveling salesman problem
CS Helvig, G Robins, A Zelikovsky
Journal of Algorithms 49 (1), 153-174, 2003
1212003
Near-optimal critical sink routing tree constructions
KD Boese, AB Kahng, BA McCoy, G Robins
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1995
1211995
Recent developments in NIKL
T Kaczmarek, R Bates, G Robins
University of Southern California, Information Sciences Institute, 1986
1181986
The system can't perform the operation now. Try again later.
Articles 1–20