Follow
Vito Giovanni Castellana
Title
Cited by
Cited by
Year
Big data: Algorithms, analytics, and applications
KC Li, H Jiang, LT Yang, A Cuzzocrea
CRC Press, 2015
1022015
Bambu: an open-source research framework for the high-level synthesis of complex applications
F Ferrandi, VG Castellana, S Curzel, P Fezzardi, M Fiorito, M Lattuada, ...
2021 58th ACM/IEEE Design Automation Conference (DAC), 1327-1330, 2021
622021
In-memory graph databases for web-scale data
VG Castellana, A Morari, J Weaver, A Tumeo, D Haglin, O Villa, J Feo
Computer 48 (3), 24-35, 2015
302015
A runtime adaptive controller for supporting hardware components with variable latency
C Pilato, VG Castellana, S Lovergine, F Ferrandi
2011 NASA/ESA Conference on Adaptive Hardware and Systems (AHS), 153-160, 2011
282011
Big data management and processing
KC Li, H Jiang, AY Zomaya
CRC Press, 2017
252017
Inter-procedural resource sharing in High Level Synthesis through function proxies
M Minutoli, VG Castellana, A Tumeo, F Ferrandi
2015 25th International Conference on Field Programmable Logic and …, 2015
222015
Opencgra: Democratizing coarse-grained reconfigurable arrays
C Tan, NB Agostini, J Zhang, M Minutoli, VG Castellana, C Xie, T Geng, ...
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
202021
An MLIR-based compiler flow for system-level design and hardware acceleration
NB Agostini, S Curzel, V Amatya, C Tan, M Minutoli, VG Castellana, ...
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
192022
Soda: a new synthesis infrastructure for agile hardware design of machine learning accelerators
M Minutoli, VG Castellana, C Tan, J Manzano, V Amatya, A Tumeo, ...
Proceedings of the 39th International Conference on Computer-Aided Design, 1-7, 2020
192020
High level synthesis of RDF queries for graph analytics
VG Castellana, M Minutoli, A Morari, A Tumeo, M Lattuada, F Ferrandi
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 323-330, 2015
182015
Scaling semantic graph databases in size and performance
A Morari, VG Castellana, O Villa, A Tumeo, J Weaver, D Haglin, ...
IEEE Micro 34 (4), 16-26, 2014
182014
Automated generation of integrated digital and spiking neuromorphic machine learning accelerators
S Curzel, NB Agostini, S Song, I Dagli, A Limaye, C Tan, M Minutoli, ...
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-7, 2021
172021
Svelto: High-level synthesis of multi-threaded accelerators for graph analytics
M Minutoli, VG Castellana, N Saporetti, S Devecchi, M Lattuada, ...
IEEE Transactions on Computers 71 (3), 520-533, 2021
172021
An automated flow for the high level synthesis of coarse grained parallel applications
VG Castellana, F Ferrandi
2013 International Conference on Field-Programmable Technology (FPT), 294-301, 2013
152013
Graql: A query language for high-performance attributed graph databases
D Chavarría-Miranda, VG Castellana, A Morari, D Haglin, J Feo
2016 IEEE International Parallel and Distributed Processing Symposium …, 2016
142016
A visual analytics paradigm enabling trillion-edge graph exploration
PC Wong, D Haglin, D Gillen, D Chavarria, V Castellana, C Joslyn, ...
2015 IEEE 5th Symposium on Large Data Analysis and Visualization (LDAV), 57-64, 2015
142015
Big data analytics
V Govindaraju, V Raghavan, CR Rao
Elsevier, 2015
142015
An adaptive memory interface controller for improving bandwidth utilization of hybrid and reconfigurable systems
VG Castellana, A Tumeo, F Ferrandi
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-4, 2014
142014
Bridging Python to silicon: The SODA toolchain
NB Agostini, S Curzel, JJ Zhang, A Limaye, C Tan, V Amatya, M Minutoli, ...
IEEE Micro 42 (5), 78-88, 2022
132022
High-level synthesis of parallel specifications coupling static and dynamic controllers
VG Castellana, A Tumeo, F Ferrandi
2021 IEEE International Parallel and Distributed Processing Symposium (IPDPS …, 2021
122021
The system can't perform the operation now. Try again later.
Articles 1–20