Follow
Jihye Kwon
Jihye Kwon
Principal Software Engineer, Cadence Design Systems
Verified email at cadence.com - Homepage
Title
Cited by
Cited by
Year
Silicon photonics codesign for deep learning
Q Cheng, J Kwon, M Glick, M Bahadori, LP Carloni, K Bergman
Proceedings of the IEEE 108 (8), 1261-1282, 2020
822020
Transfer learning for design-space exploration with high-level synthesis
J Kwon, LP Carloni
Proceedings of the 2020 ACM/IEEE Workshop on Machine Learning for CAD, 163-168, 2020
412020
A learning-based recommender system for autotuning design flows of industrial high-performance processors
J Kwon, MM Ziegler, LP Carloni
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
402019
Leveraging prior knowledge for effective design-space exploration in high-level synthesis
L Ferretti, J Kwon, G Ansaloni, G Di Guglielmo, LP Carloni, L Pozzi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
332020
Multicore scheduling of parallel real-time tasks with multiple parallelization options
J Kwon, KW Kim, S Paik, J Lee, CG Lee
21st IEEE Real-Time and Embedded Technology and Applications Symposium, 232-244, 2015
292015
Accurate indoor location tracking exploiting ultrasonic reflections
KW Kim, J Kwon, CG Lee, J Han
IEEE Sensors Journal 16 (24), 9075-9088, 2016
252016
Cohmeleon: Learning-based orchestration of accelerator coherence in heterogeneous SoCs
J Zuckerman, D Giri, J Kwon, P Mantovani, LP Carloni
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
182021
Photonic switched optically connected memory: An approach to address memory challenges in deep learning
Z Zhu, G Di Guglielmo, Q Cheng, M Glick, J Kwon, H Guan, LP Carloni, ...
Journal of Lightwave Technology 38 (10), 2815-2825, 2020
152020
Teaching heterogeneous computing with system-level design methods
LP Carloni, EG Cota, GD Guglielmo, D Giri, J Kwon, P Mantovani, ...
Proceedings of the Workshop on Computer Architecture Education, 1-8, 2019
102019
Online and Offline Machine Learning for Industrial Design Flow Tuning: (Invited-ICCAD Special Session Paper)
MM Ziegler, J Kwon, HY Liu, LP Carloni
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021
82021
Db4hls: A database of high-level synthesis design space explorations
L Ferretti, J Kwon, G Ansaloni, G Di Guglielmo, L Carloni, L Pozzi
IEEE Embedded Systems Letters 13 (4), 194-197, 2021
72021
SynTunSys: A synthesis parameter autotuning system for optimizing high-performance processors
MM Ziegler, HY Liu, G Gristede, B Owens, R Nigaglioni, J Kwon, ...
Machine Learning in VLSI Computer-Aided Design, 539-570, 2019
72019
The Interplay of Online and Offline Machine Learning for Design Flow Tuning
MM Ziegler, J Kwon, HY Liu, LP Carloni
Machine Learning Applications in Electronic Design Automation, 339-376, 2022
2022
Machine Learning for AI-Augmented Design Space Exploration of Computer Systems
J Kwon
Columbia University, 2022
2022
Technical Report: Multicore Scheduling of Parallel Real-Time Tasks with Multiple Parallelization Options
J Kwon, KW Kim, S Paik, J Lee, CG Lee
Technical Report (RUBIS-TR-201410-1) Experimental Data for Multicore Scheduling of Parallel Real-Time Tasks with Multiple Parallelization Options
J Kwon, KW Kim, S Paik, J Lee, CG Lee
The system can't perform the operation now. Try again later.
Articles 1–16