Folgen
Wonbo Shim
Titel
Zitiert von
Zitiert von
Jahr
Single-crystalline Si stacked array (STAR) NAND flash memory
JG Yun, G Kim, JE Lee, Y Kim, WB Shim, JH Lee, H Shin, JD Lee, ...
IEEE Transactions on Electron Devices 58 (4), 1006-1014, 2011
2502011
RRAM for compute-in-memory: From inference to training
S Yu, W Shim, X Peng, Y Luo
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (7), 2753-2765, 2021
582021
Technological design of 3D NAND-based compute-in-memory architecture for GB-scale deep neural network
W Shim, S Yu
IEEE Electron Device Letters 42 (2), 160-163, 2020
392020
Two-step write–verify scheme and impact of the read noise in multilevel RRAM-based inference engine
W Shim, J Seo, S Yu
Semiconductor Science and Technology 35 (11), 115026, 2020
382020
Drain–erase scheme in ferroelectric field-effect transistor—Part I: Device characterization
P Wang, Z Wang, W Shim, J Hur, S Datta, AI Khan, S Yu
IEEE Transactions on Electron Devices 67 (3), 955-961, 2020
362020
3D stacked array having cut-off gate line and fabrication method thereof
B Park, S Cho, WB Shim
US Patent 8,786,004, 2014
342014
Drain-erase scheme in ferroelectric field effect transistor—Part II: 3-D-NAND architecture for in-memory computing
P Wang, W Shim, Z Wang, J Hur, S Datta, AI Khan, S Yu
IEEE Transactions on Electron Devices 67 (3), 962-967, 2020
332020
Investigation of read disturb and bipolar read scheme on multilevel RRAM-based deep learning inference engine
W Shim, Y Luo, JS Seo, S Yu
IEEE Transactions on Electron Devices 67 (6), 2318-2323, 2020
322020
Ferroelectric HfO2-based synaptic devices: recent trends and prospects
S Yu, J Hur, YC Luo, W Shim, G Choe, P Wang
Semiconductor Science and Technology 36 (10), 104001, 2021
272021
Impact of read disturb on multilevel RRAM based inference engine: Experiments and model prediction
W Shim, Y Luo, J Seo, S Yu
2020 IEEE International Reliability Physics Symposium (IRPS), 1-5, 2020
252020
Program/erase model of nitride-based NAND-type charge trap flash memories
DH Kim, S Cho, DH Li, JG Yun, JH Lee, GS Lee, Y Kim, WB Shim, ...
Japanese Journal of Applied Physics 49 (8R), 084301, 2010
182010
Impact of random phase distribution in ferroelectric transistors-based 3-D NAND architecture on in-memory computing
G Choe, W Shim, P Wang, J Hur, AI Khan, S Yu
IEEE Transactions on Electron Devices 68 (5), 2543-2548, 2021
162021
Benchmarking monolithic 3D integration for compute-in-memory accelerators: overcoming ADC bottlenecks and maintaining scalability to 7nm or beyond
X Peng, W Chakraborty, A Kaul, W Shim, MS Bakir, S Datta, S Yu
2020 IEEE International Electron Devices Meeting (IEDM), 30.4. 1-30.4. 4, 2020
162020
Temperature-resilient rram-based in-memory computing for dnn inference
J Meng, W Shim, L Yang, I Yeo, D Fan, S Yu, J Seo
IEEE Micro 42 (1), 89-98, 2021
142021
Impact of multilevel retention characteristics on RRAM based DNN inference engine
W Shim, J Meng, X Peng, J Seo, S Yu
2021 IEEE International Reliability Physics Symposium (IRPS), 1-4, 2021
142021
A Vertical 4-Bit SONOS Flash Memory and a Unique 3-D Vertical nor Array Structure
Y Kim, IH Park, S Cho, JG Yun, JH Lee, DH Kim, GS Lee, SH Park, ...
IEEE transactions on nanotechnology 9 (1), 70-77, 2009
142009
Nonvolatile memory device, erase method thereof and memory system including the same
W Shim
US Patent 9,514,828, 2016
122016
Ferroelectric field-effect transistor-based 3-D NAND architecture for energy-efficient on-chip training accelerator
W Shim, S Yu
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 7 …, 2021
112021
A charge trap folded NAND flash memory device with band-gap-engineered storage node
S Cho, WB Shim, Y Kim, JG Yun, JD Lee, H Shin, JH Lee, BG Park
IEEE Transactions on Electron Devices 58 (2), 288-295, 2010
112010
Arch NAND flash memory array with improved virtual source/drain performance
W Kim, JH Lee, JG Yun, S Cho, DH Li, Y Kim, DH Kim, GS Lee, SH Park, ...
IEEE electron device letters 31 (12), 1374-1376, 2010
112010
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20