Follow
Abhijitt Dhavlle
Title
Cited by
Cited by
Year
Adversarial attack on microarchitectural events based malware detectors
SMP Dinakarrao, S Amberkar, S Bhat, A Dhavlle, H Sayadi, A Sasan, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
602019
A survey on machine learning accelerators and evolutionary hardware platforms
S Bavikadi, A Dhavlle, A Ganguly, A Haridass, H Hendy, C Merkel, ...
IEEE Design & Test 39 (3), 91-116, 2022
332022
Advances and throwbacks in hardware-assisted security: Special session
F Brasser, L Davi, A Dhavlle, T Frassetto, SMP Dinakarrao, S Rafatirad, ...
Proceedings of the International Conference on Compilers, Architecture and …, 2018
32*2018
Hmd-hardener: Adversarially robust and efficient hardware-assisted runtime malware detection
A Dhavlle, S Shukla, S Rafatirad, H Homayoun, SMP Dinakarrao
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2021
162021
Design of hardware trojans and its impact on cps systems: A comprehensive survey
A Dhavlle, R Hassan, M Mittapalli, SMP Dinakarrao
2021 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2021
152021
What can a remote access hardware trojan do to a network-on-chip?
MM Ahmed, A Dhavlle, N Mansoor, SMP Dinakarrao, K Basu, A Ganguly
2021 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2021
142021
Defense against on-chip trojans enabling traffic analysis attacks
MM Ahmed, A Dhavlle, N Mansoor, P Sutradhar, SMP Dinakarrao, K Basu, ...
2020 Asian Hardware Oriented Security and Trust Symposium (AsianHOST), 1-6, 2020
132020
Entropy-shield: Side-channel entropy maximization for timing-based side-channel attacks
A Dhavlle, R Mehta, S Rafatirad, H Homayoun, SMP Dinakarrao
2020 21st International Symposium on Quality Electronic Design (ISQED), 161-166, 2020
132020
Imitating functional operations for mitigating side-channel leakage
A Dhavlle, S Rafatirad, K Khasawneh, H Homayoun, SMP Dinakarrao
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
122021
Sequence-crafter: side-channel entropy minimization to thwart timing-based side-channel attacks: work-in-progress
A Dhavlle, S Bhat, S Rafatirad, H Homayoun
Proceedings of the International Conference on Compliers, Architectures and …, 2019
82019
CR-spectre: Defense-aware ROP injected code-reuse based dynamic spectre
A Dhavlle, S Rafatirad, H Homayoun, SMP Dinakarrao
2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 508-513, 2022
72022
SMP D,“
A Dhavlle, R Hassan, M Mittapalli
Design of hardware trojans and its impact on cps systems: A comprehensive …, 2021
72021
A novel malware detection mechanism based on features extracted from converted malware binary images
A Dhavlle, S Shukla
arXiv preprint arXiv:2104.06652, 2021
62021
A comprehensive review of ML-based time-series and signal processing techniques and their hardware implementations
A Dhavlle, SMP Dinakarrao
2020 11th International Green and Sustainable Computing Workshops (IGSC), 1-8, 2020
62020
Iron-Dome: Securing IoT Networked Systems at Runtime by Network and Device Characteristics to Confine Malware Epidemics
S Shukla, A Dhavlle, SM PD, H Homayoun, S Rafatirad
2022 IEEE 40th International Conference on Computer Design (ICCD), 259-262, 2022
52022
Power swapper: Approximate functional block assisted cryptosystem security
A Dhavlle, S Rafatirad, H Homayoun, SMP Dinakarrao
2021 IEEE 34th International System-on-Chip Conference (SOCC), 101-105, 2021
22021
Reverse Engineering of Integrated Circuits: Tools and Techniques
A Dhavlle
arXiv preprint arXiv:2208.08689, 2022
12022
Adversarial Learning Inspired Emerging Side-Channel Attacks and Defenses
A Dhavlle
arXiv preprint arXiv:2104.04054, 2021
12021
Address Obfuscation to Protect against Hardware Trojans in Network-on-Chips
T Mountford, A Dhavlle, A Tevebaugh, N Mansoor, SMP Dinakarrao, ...
Journal of Low Power Electronics and Applications 13 (3), 50, 2023
2023
Defense Against On-Chip Trojans Enabling Traffic Analysis Attacks Based on Machine Learning and Data Augmentation
A Dhavlle, MM Ahmed, N Mansoor, K Basu, A Ganguly, SM PD
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–20