Follow
Sherief Reda
Title
Cited by
Cited by
Year
DRUM: A dynamic range unbiased multiplier for approximate applications
S Hashemi, RI Bahar, S Reda
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 418-425, 2015
3852015
Pack & cap: adaptive dvfs and thread packing under power caps
R Cochran, C Hankendi, AK Coskun, S Reda
Proceedings of the 44th annual IEEE/ACM international symposium on …, 2011
3752011
ABACUS: A technique for automated behavioral synthesis of approximate computing circuits
K Nepal, Y Li, RI Bahar, S Reda
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2014
2222014
Power-aware placement
Y Cheon, PH Ho, AB Kahng, S Reda, Q Wang
Proceedings of the 42nd annual Design Automation Conference, 795-800, 2005
1662005
Understanding the impact of precision quantization on the accuracy and energy of neural networks
S Hashemi, N Anthony, H Tann, RI Bahar, S Reda
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
1602017
Novel techniques for high-sensitivity hardware Trojan detection using thermal and power maps
AN Nowroz, K Hu, F Koushanfar, S Reda
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2014
1582014
Toward an open-source digital flow: First learnings from the openroad project
T Ajayi, VA Chhabria, M Fogaça, S Hashemi, A Hosny, AB Kahng, M Kim, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-4, 2019
1402019
Architecture and details of a high quality, large-scale analytical placer
AB Kahng, S Reda, Q Wang
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005 …, 2005
1362005
Improved thermal tracking for processors using hard and soft sensor allocation techniques
S Reda, R Cochran, AN Nowroz
IEEE Transactions on Computers 60 (6), 841-851, 2011
1112011
Thermal monitoring of real processors: Techniques for sensor allocation and full characterization
AN Nowroz, R Cochran, S Reda
Proceedings of the 47th Design Automation Conference, 56-61, 2010
1092010
Maximizing the functional yield of wafer-to-wafer 3-D integration
S Reda, G Smith, L Smith
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17 (9 …, 2009
1092009
A semi-persistent clustering technique for VLSI circuit placement
C Alpert, A Kahng, GJ Nam, S Reda, P Villarrubia
Proceedings of the 2005 international symposium on Physical design, 200-207, 2005
1072005
High-sensitivity hardware trojan detection using multimodal characterization
K Hu, AN Nowroz, S Reda, F Koushanfar
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2013
1062013
DRiLLS: Deep reinforcement learning for logic synthesis
A Hosny, S Hashemi, M Shalan, S Reda
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 581-586, 2020
1012020
Reducing test application time through test data mutation encoding
S Reda, A Orailoglu
Proceedings 2002 Design, Automation and Test in Europe Conference and …, 2002
992002
Consistent runtime thermal prediction and control through workload phase detection
R Cochran, S Reda
Proceedings of the 47th Design Automation Conference, 62-67, 2010
982010
Runtime configurable deep neural networks for energy-accuracy trade-off
H Tann, S Hashemi, RI Bahar, S Reda
Proceedings of the eleventh ieee/acm/ifip international conference on …, 2016
902016
Aplace: A general analytic placement framework
AB Kahng, S Reda, Q Wang
Proceedings of the 2005 international symposium on Physical design, 233-235, 2005
862005
Approximate logic synthesis: A survey
I Scarabottolo, G Ansaloni, GA Constantinides, L Pozzi, S Reda
Proceedings of the IEEE 108 (12), 2195-2213, 2020
832020
Spectral techniques for high-resolution thermal characterization with limited sensor data
R Cochran, S Reda
Proceedings of the 46th Annual Design Automation Conference, 478-483, 2009
822009
The system can't perform the operation now. Try again later.
Articles 1–20