フォロー
Wen-Hao Liu
Wen-Hao Liu
Nvidia Research
確認したメール アドレス: nvidia.com
タイトル
引用先
引用先
NCTU-GR 2.0: Multithreaded collision-aware global routing with bounded-length maze routing
WH Liu, WC Kao, YL Li, KY Chao
IEEE Transactions on computer-aided design of integrated circuits and …, 2013
1632013
NCTU-GR: Efficient simulated evolution-based rerouting and congestion-relaxed layer assignment on 3-D global routing
KR Dai, WH Liu, YL Li
IEEE Transactions on very large scale integration (VLSI) systems 20 (3), 459-472, 2011
992011
Multi-threaded collision-aware global routing with bounded-length maze routing
WH Liu, WC Kao, YL Li, KY Chao
Proceedings of the 47th Design Automation Conference, 200-205, 2010
792010
MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes
Y Lin, B Yu, X Xu, JR Gao, N Viswanathan, WH Liu, Z Li, CJ Alpert, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
752017
ISPD 2014 benchmarks with sub-45nm technology rules for detailed-routing-driven placement
V Yutsis, IS Bustany, D Chinnery, JR Shinnerl, WH Liu
Proceedings of the 2014 on International symposium on physical design, 161-168, 2014
742014
ISPD 2018 initial detailed routing contest and benchmarks
S Mantik, G Posser, WK Chow, Y Ding, WH Liu
Proceedings of the 2018 International Symposium on Physical Design, 140-143, 2018
662018
Optimization of placement solutions for routability
WH Liu, CK Koh, YL Li
Proceedings of the 50th Annual Design Automation Conference, 1-9, 2013
472013
A fast maze-free routing congestion estimator with hybrid unilateral monotonic routing
WH Liu, YL Li, CK Koh
Proceedings of the International Conference on Computer-Aided Design, 713-719, 2012
472012
ISPD 2019 initial detailed routing contest and benchmark with advanced routing rules
WH Liu, S Mantik, WK Chow, Y Ding, A Farshidi, G Posser
Proceedings of the 2019 International Symposium on Physical Design, 147-151, 2019
422019
Timing-aware layer assignment for advanced process technologies considering via pillars
G Liu, X Zhang, W Guo, X Huang, WH Liu, KY Chao, TC Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
372021
Density-aware detailed placement with instant legalization
S Popovych, HH Lai, CM Wang, YL Li, WH Liu, TC Wang
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
342014
Minimizing clock latency range in robust clock tree synthesis
WH Liu, YL Li, HC Chen
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), 389-394, 2010
322010
Negotiation-based layer assignment for via count and via overflow minimization
WH Liu, YL Li
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011), 539-544, 2011
312011
Floorplanning and signal assignment for silicon interposer-based 3D ICs
WH Liu, MS Chang, TC Wang
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
252014
Routing congestion estimation with real design constraints
WH Liu, Y Wei, C Sze, CJ Alpert, Z Li, YL Li, N Viswanathan
Proceedings of the 50th Annual Design Automation Conference, 1-8, 2013
252013
Case study for placement solutions in ISPD11 and DAC12 routability-driven placement contests
WH Liu, CK Koh, YL Li
Proceedings of the 2013 ACM International symposium on Physical Design, 114-119, 2013
212013
Negotiation-based track assignment considering local nets
MP Wong, WH Liu, TC Wang
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 378-383, 2016
172016
High-quality global routing for multiple dynamic supply voltage designs
WH Liu, YL Li, KY Chao
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 263-269, 2011
122011
In-route pin access-driven placement refinement for improved detailed routing convergence
AB Kahng, J Kuang, WH Liu, B Xu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
112021
Metal layer planning for silicon interposers with consideration of routability and manufacturing cost
WH Liu, TK Chien, TC Wang
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2014
112014
現在システムで処理を実行できません。しばらくしてからもう一度お試しください。
論文 1–20