Folgen
Margaret Martonosi
Margaret Martonosi
Professor of Computer Science, Princeton University
Bestätigte E-Mail-Adresse bei princeton.edu
Titel
Zitiert von
Zitiert von
Jahr
Wattch: A framework for architectural-level power analysis and optimizations
D Brooks, V Tiwari, M Martonosi
ACM SIGARCH Computer Architecture News 28 (2), 83-94, 2000
38562000
Energy-efficient computing for wildlife tracking: Design tradeoffs and early experiences with ZebraNet
P Juang, H Oki, Y Wang, M Martonosi, LS Peh, D Rubenstein
Proceedings of the 10th international conference on Architectural support …, 2002
29832002
Dynamic thermal management for high-performance microprocessors
D Brooks, M Martonosi
Proceedings HPCA Seventh International Symposium on High-Performance …, 2001
11152001
Cache decay: Exploiting generational behavior to reduce cache leakage power
S Kaxiras, Z Hu, M Martonosi
Proceedings of the 28th annual international symposium on Computer …, 2001
10102001
Hardware design experiences in ZebraNet
P Zhang, CM Sadler, SA Lyon, M Martonosi
Proceedings of the 2nd international conference on Embedded networked sensor …, 2004
9492004
An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget
C Isci, A Buyuktosunoglu, CY Cher, P Bose, M Martonosi
2006 39th Annual IEEE/ACM International Symposium on Microarchitecture …, 2006
8802006
Runtime power monitoring in high-end processors: Methodology and empirical data
C Isci, M Martonosi
Proceedings. 36th Annual IEEE/ACM International Symposium on …, 2003
8382003
Impala: A middleware system for managing autonomic, parallel sensor systems
T Liu, M Martonosi
Proceedings of the ninth ACM SIGPLAN symposium on Principles and practice of …, 2003
7172003
Techniques for multicore thermal management: Classification and new exploration
J Donald, M Martonosi
ACM SIGARCH computer architecture news 34 (2), 78-88, 2006
6902006
Erasure-coding based routing for opportunistic networks
Y Wang, S Jain, M Martonosi, K Fall
Proceedings of the 2005 ACM SIGCOMM workshop on Delay-tolerant networking …, 2005
6232005
Data compression algorithms for energy-constrained devices in delay tolerant networks
CM Sadler, M Martonosi
Proceedings of the 4th international conference on Embedded networked sensor …, 2006
5862006
Identifying important places in people’s lives from cellular network data
S Isaacman, R Becker, R Cáceres, S Kobourov, M Martonosi, J Rowland, ...
Pervasive Computing: 9th International Conference, Pervasive 2011, San …, 2011
5532011
Signalguru: leveraging mobile phones for collaborative traffic signal schedule advisory
E Koukoumidis, LS Peh, MR Martonosi
Proceedings of the 9th international conference on Mobile systems …, 2011
4752011
Power prediction for Intel XScale® processors using performance monitoring unit events
G Contreras, M Martonosi
Proceedings of the 2005 international symposium on Low power electronics and …, 2005
4752005
Dynamically exploiting narrow width operands to improve processor power and performance
D Brooks, M Martonosi
Proceedings Fifth International Symposium on High-Performance Computer …, 1999
4251999
Live, runtime phase monitoring and prediction on real systems with application to dynamic power management
C Isci, G Contreras, M Martonosi
2006 39th Annual IEEE/ACM International Symposium on Microarchitecture …, 2006
4172006
Graphicionado: A high-performance and energy-efficient accelerator for graph analytics
TJ Ham, L Wu, N Sundaram, N Satish, M Martonosi
2016 49th annual IEEE/ACM international symposium on microarchitecture …, 2016
3982016
Cache miss equations: a compiler framework for analyzing and tuning memory behavior
S Ghosh, M Martonosi, S Malik
ACM Transactions on Programming Languages and Systems (TOPLAS) 21 (4), 703-746, 1999
3861999
Run-time power estimation in high performance microprocessors
R Joseph, M Martonosi
Proceedings of the 2001 international symposium on Low power electronics and …, 2001
3812001
Human mobility characterization from cellular network data
R Becker, R Cáceres, K Hanson, S Isaacman, JM Loh, M Martonosi, ...
Communications of the ACM 56 (1), 74-82, 2013
3712013
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20