Follow
Anteneh Gebregiorgis
Title
Cited by
Cited by
Year
Aging mitigation in memory arrays using self-controlled bit-flipping technique
A Gebregiorgis, M Ebrahimi, S Kiamehr, F Oboril, S Hamdioui, ...
The 20th Asia and South Pacific Design Automation Conference, 231-236, 2015
502015
Testing of neuromorphic circuits: Structural vs functional
A Gebregiorgis, MB Tahoori
2019 IEEE International Test Conference (ITC), 1-10, 2019
312019
Low-power memristor-based computing for edge-ai applications
A Singh, S Diware, A Gebregiorgis, R Bishnoi, F Catthoor, RV Joshi, ...
2021 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2021
202021
Reliability aspects of binary vector-matrix-multiplications using ReRAM devices
C Bengel, J Mohr, S Wiefels, A Singh, A Gebregiorgis, R Bishnoi, ...
Neuromorphic computing and engineering 2 (3), 034001, 2022
192022
Error propagation aware timing relaxation for approximate near threshold computing
A Gebregiorgis, S Kiamehr, MB Tahoori
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
192017
A cross-layer analysis of soft error, aging and process variation in near threshold computing
A Gebregiorgis, S Kiamehr, F Oboril, R Bishnoi, MB Tahoori
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 205-210, 2016
192016
Intermittent undefined state fault in RRAMs
M Fieback, GC Medeiros, A Gebregiorgis, H Aziza, M Taouil, S Hamdioui
2021 IEEE European Test Symposium (ETS), 1-6, 2021
172021
Srif: Scalable and reliable integrate and fire circuit adc for memristor-based cim architectures
A Singh, MA Lebdeh, A Gebregiorgis, R Bishnoi, RV Joshi, S Hamdioui
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (5), 1917-1930, 2021
172021
Test pattern generation for approximate circuits based on boolean satisfiability
A Gebregiorgis, MB Tahoori
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2019
172019
Tutorial on memristor-based computing for smart edge applications
A Gebregiorgis, A Singh, A Yousefzadeh, D Wouters, R Bishnoi, ...
Memories-Materials, Devices, Circuits and Systems 4, 100025, 2023
162023
A voltage-controlled, oscillation-based adc design for computation-in-memory architectures using emerging rerams
M Mayahinia, A Singh, C Bengel, S Wiefels, MA Lebdeh, S Menzel, ...
ACM Journal on Emerging Technologies in Computing Systems (JETC) 18 (2), 1-25, 2022
152022
A survey on memory-centric computer architectures
A Gebregiorgis, HA Du Nguyen, J Yu, R Bishnoi, M Taouil, F Catthoor, ...
ACM Journal on Emerging Technologies in Computing Systems (JETC) 18 (4), 1-50, 2022
122022
Cim-based robust logic accelerator using 28 nm stt-mram characterization chip tape-out
A Singh, M Zahedi, T Shahroodi, M Gupta, A Gebregiorgis, M Komalan, ...
2022 IEEE 4th International Conference on Artificial Intelligence Circuits …, 2022
122022
Towards Reliable In-Memory Computing: From Emerging Devices to Post-von-Neumann Architectures
HANDAGSHI Polian
International Conference on Very Large Scale Integration (VLSI-SoC), 1-6, 2021
12*2021
Maximizing energy efficiency in NTC by variation-aware microprocessor pipeline optimization
A Gebregiorgis, MS Golanbari, S Kiamehr, F Oboril, MB Tahoori
Proceedings of the 2016 International Symposium on Low Power Electronics and …, 2016
122016
Accurate and energy-efficient bit-slicing for RRAM-based neural networks
S Diware, A Singh, A Gebregiorgis, RV Joshi, S Hamdioui, R Bishnoi
IEEE Transactions on Emerging Topics in Computational Intelligence 7 (1 …, 2022
102022
Unbalanced bit-slicing scheme for accurate memristor-based neural network architecture
S Diware, A Gebregiorgis, RV Joshi, S Hamdioui, R Bishnoi
2021 IEEE 3rd International Conference on Artificial Intelligence Circuits …, 2021
102021
A comprehensive reliability analysis framework for NTC caches: A system to device approach
A Gebregiorgis, R Bishnoi, MB Tahoori
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
102018
A cross-layer approach for resiliency and energy efficiency in near threshold computing
MS Golanbari, A Gebregiorgis, F Oboril, S Kiamehr, MB Tahoori
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2016
102016
Modeling and testing of aging faults in FinFET memories for automotive applications
G Tshagharyan, G Harutyunyan, Y Zorian, A Gebregiorgis, MS Golanbari, ...
2018 IEEE International Test Conference (ITC), 1-10, 2018
92018
The system can't perform the operation now. Try again later.
Articles 1–20