Follow
Fengbin Tu
Fengbin Tu
Assistant Professor at HKUST
Verified email at ust.hk - Homepage
Title
Cited by
Cited by
Year
Deep convolutional neural network architecture with reconfigurable computation patterns
F Tu, S Yin, P Ouyang, S Tang, L Liu, S Wei
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (8 …, 2017
3102017
A high energy efficient reconfigurable hybrid neural network processor for deep learning applications
S Yin, P Ouyang, S Tang, F Tu, X Li, S Zheng, T Lu, J Gu, L Liu, S Wei
IEEE Journal of Solid-State Circuits 53 (4), 968-982, 2017
2042017
A 1.06-to-5.09 TOPS/W reconfigurable hybrid-neural-network processor for deep learning applications
S Yin, P Ouyang, S Tang, F Tu, X Li, L Liu, S Wei
2017 Symposium on VLSI Circuits, C26-C27, 2017
972017
RANA: Towards efficient neural acceleration with refresh-optimized embedded DRAM
F Tu, W Wu, S Yin, L Liu, S Wei
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture …, 2018
822018
A 28nm 29.2 TFLOPS/W BF16 and 36.5 TOPS/W INT8 Reconfigurable Digital CIM Processor with Unified FP/INT Pipeline and Bitwise In-Memory Booth Multiplication for Cloud Deep …
F Tu, Y Wang, Z Wu, L Liang, Y Ding, B Kim, L Liu, S Wei, Y Xie, S Yin
2022 IEEE International Solid-State Circuits Conference (ISSCC) 65, 254-256, 2022
522022
Evolver: A deep learning processor with on-device quantization–voltage–frequency tuning
F Tu, W Wu, Y Wang, H Chen, F Xiong, M Shi, N Li, J Deng, T Chen, L Liu, ...
IEEE Journal of Solid-State Circuits 56 (2), 658-673, 2020
522020
A high throughput acceleration for hybrid neural networks with efficient resource management on FPGA
S Yin, S Tang, X Lin, P Ouyang, F Tu, L Liu, S Wei
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
492018
GNA: Reconfigurable and efficient architecture for generative network acceleration
J Yan, S Yin, F Tu, L Liu, S Wei
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
462018
AEPE: An area and power efficient RRAM crossbar-based accelerator for deep CNNs
S Tang, S Yin, S Zheng, P Ouyang, F Tu, L Yao, JZ Wu, W Cheng, L Liu, ...
2017 IEEE 6th Non-Volatile Memory Systems and Applications Symposium (NVMSA …, 2017
382017
A 28nm 15.59 µJ/token full-digital bitline-transpose CIM-based sparse transformer accelerator with pipeline/parallel reconfigurable modes
F Tu, Z Wu, Y Wang, L Liang, L Liu, Y Ding, L Liu, S Wei, Y Xie, S Yin
2022 IEEE International Solid-State Circuits Conference (ISSCC) 65, 466-468, 2022
332022
Dota: detect and omit weak attentions for scalable transformer acceleration
Z Qu, L Liu, F Tu, Z Chen, Y Ding, Y Xie
Proceedings of the 27th ACM International Conference on Architectural …, 2022
322022
LCP: A layer clusters paralleling mapping method for accelerating inception and residual networks on FPGA
X Lin, S Yin, F Tu, L Liu, X Li, S Wei
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
312018
Duet: Boosting deep neural network efficiency on dual-module architecture
L Liu, Z Qu, L Deng, F Tu, S Li, X Hu, Z Gu, Y Ding, Y Xie
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
252020
H2learn: High-efficiency learning accelerator for high-accuracy spiking neural networks
L Liang, Z Qu, Z Chen, F Tu, Y Wu, L Deng, G Li, P Li, Y Xie
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
212021
Parana: A parallel neural architecture considering thermal problem of 3d stacked memory
S Yin, S Tang, X Lin, P Ouyang, F Tu, J Zhao, C Xu, S Li, Y Xie, SJ Wei
IEEE Transactions on Parallel and Distributed Systems 30 (1), 146-160, 2018
172018
STC: Significance-aware transform-based codec framework for external memory access reduction
F Xiong, F Tu, M Shi, Y Wang, L Liu, S Wei, S Yin
2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020
162020
INSPIRE: in-storage private information retrieval via protocol and architecture co-design
J Lin, L Liang, Z Qu, I Ahmad, L Liu, F Tu, T Gupta, Y Ding, Y Xie
Proceedings of the 49th Annual International Symposium on Computer …, 2022
152022
ReDCIM: Reconfigurable digital computing-in-memory processor with unified FP/INT pipeline for cloud AI acceleration
F Tu, Y Wang, Z Wu, L Liang, Y Ding, B Kim, L Liu, S Wei, Y Xie, S Yin
IEEE Journal of Solid-State Circuits 58 (1), 243-255, 2022
122022
SDP: Co-designing algorithm, dataflow, and architecture for in-SRAM sparse NN acceleration
F Tu, Y Wang, L Liang, Y Ding, L Liu, S Wei, S Yin, Y Xie
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2022
112022
Bit-width adaptive accelerator design for convolution neural network
J Guo, S Yin, P Ouyang, F Tu, S Tang, L Liu, S Wei
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2018
112018
The system can't perform the operation now. Try again later.
Articles 1–20