Follow
Rino Choi
Rino Choi
Professor of Materials Science and Eng., Inha University
Verified email at inha.ac.kr
Title
Cited by
Cited by
Year
The effect of moisture on the photon-enhanced negative bias thermal instability in Ga–In–Zn–O thin film transistors
KH Lee, JS Jung, KS Son, JS Park, TS Kim, R Choi, JK Jeong, JY Kwon, ...
Applied Physics Letters 95 (23), 2009
3622009
Effect of high-pressure oxygen annealing on negative bias illumination stress-induced instability of InGaZnO thin film transistors
KH Ji, JI Kim, HY Jung, SY Park, R Choi, UK Kim, CS Hwang, D Lee, ...
Applied Physics Letters 98 (10), 2011
3042011
Bonding states and electrical properties of ultrathin gate dielectrics
CS Kang, HJ Cho, K Onishi, R Nieh, R Choi, S Gopalan, S Krishnan, ...
Applied Physics Letters 81 (14), 2593-2595, 2002
2072002
Dipole model explaining high-k/metal gate field effect transistor threshold voltage tuning
PD Kirsch, P Sivasubramani, J Huang, CD Young, MA Quevedo-Lopez, ...
Applied Physics Letters 92 (9), 2008
2062008
The effect of interfacial layer properties on the performance of Hf-based gate stack devices
G Bersuker, CS Park, J Barnett, PS Lysaght, PD Kirsch, CD Young, ...
Journal of Applied Physics 100 (9), 2006
1932006
Fast transient charging at the graphene/SiO2 interface causing hysteretic device characteristics
YG Lee, CG Kang, UJ Jung, JJ Kim, HJ Hwang, HJ Chung, S Seo, R Choi, ...
Applied Physics Letters 98 (18), 2011
1762011
Mechanism of Electron Trapping and Characteristics of Traps in Gate Stacks
G Bersuker, JH Sim, CS Park, CD Young, SV Nadkarni, R Choi, BH Lee
IEEE Transactions on Device and Materials Reliability 7 (1), 138-145, 2007
1692007
Bias-temperature instabilities of polysilicon gate HfO/sub 2/MOSFETs
K Onishi, R Choi, CS Kang, HJ Cho, YH Kim, RE Nieh, J Han, ...
IEEE Transactions on Electron Devices 50 (6), 1517-1524, 2003
1682003
MOSFET devices with polysilicon on single-layer HfO/sub 2/high-K dielectrics
L Kang, K Onishi, Y Jeon, BH Lee, C Kang, WJ Qi, R Nieh, S Gopalan, ...
International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No …, 2000
1532000
The electrical and material characterization of hafnium oxynitride gate dielectrics with TaN-gate electrode
CS Kang, HJ Cho, R Choi, YH Kim, CY Kang, SJ Rhee, C Choi, MS Akbar, ...
IEEE transactions on electron devices 51 (2), 220-227, 2004
1382004
A capacitance-based methodology for work function extraction of metals on high-/spl kappa
R Jha, J Gurganos, YH Kim, R Choi, J Lee, V Misra
IEEE Electron Device Letters 25 (6), 420-423, 2004
1372004
The impact of gate dielectric materials on the light-induced bias instability in Hf–In–Zn–O thin film transistor
JY Kwon, JS Jung, KS Son, KH Lee, JS Park, TS Kim, JS Park, R Choi, ...
Applied Physics Letters 97 (18), 2010
1352010
Characteristics of TaN gate MOSFET with ultrathin hafnium oxide (8/spl Aring/-12/spl Aring/)
BH Lee, R Choi, L Kang, S Gopalan, R Nieh, K Onishi, Y Jeon, WJ Qi, ...
International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No …, 2000
1262000
Improvement of surface carrier mobility of HfO/sub 2/MOSFETs by high-temperature forming gas annealing
K Onishi, CS Kang, R Choi, HJ Cho, S Gopalan, RE Nieh, SA Krishnan, ...
IEEE Transactions on Electron Devices 50 (2), 384-390, 2003
1232003
Characterization of resistivity and work function of sputtered-TaN film for gate electrode applications
CS Kang, HJ Cho, YH Kim, R Choi, K Onishi, A Shahriar, JC Lee
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2003
1202003
Radiation Induced Charge Trapping in Ultrathin -Based MOSFETs
SK Dixit, XJ Zhou, RD Schrimpf, DM Fleetwood, ST Pantelides, R Choi, ...
IEEE Transactions on Nuclear Science 54 (6), 1883-1890, 2007
1162007
High-performance TaN/HfSiON/Si metal-oxide-semiconductor structures prepared by post-deposition anneal
MS Akbar, S Gopalan, HJ Cho, K Onishi, R Choi, R Nieh, CS Kang, ...
Applied physics letters 82 (11), 1757-1759, 2003
1022003
High-quality ultra-thin HfO/sub 2/gate dielectric MOSFETs with TaN electrode and nitridation surface preparation
R Choi, CS Kang, BH Lee, K Onishi, R Nieh, S Gopalan, E Dharmarajan, ...
2001 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No …, 2001
1002001
High-k dielectrics and MOSFET characteristics
JC Lee, HJ Cho, CS Kang, S Rhee, YH Kim, R Choi, CY Kang, C Choi, ...
IEEE International Electron Devices Meeting 2003, 4.4. 1-4.4. 4, 2003
902003
Evaluation of silicon surface nitridation effects on ultra-thin gate dielectrics
R Nieh, R Choi, S Gopalan, K Onishi, CS Kang, HJ Cho, S Krishnan, ...
Applied physics letters 81 (9), 1663-1665, 2002
892002
The system can't perform the operation now. Try again later.
Articles 1–20